XP7下载,强力为全网用户提供软件下载和使用教程,游戏电脑攻略资源分享! 今日更新 | 软件分类

新思科技:从芯片设计为智能汽车降本增效

时间:2024-03-29 来源:www.xp7.com 人气:

随着汽车智能化的发展,车辆上的软件代码和芯片数量迎来前所未有的增长。

如今,一辆现代化的汽车上大概运行着1亿行代码,到2030年将超过3亿行。

有机构预计,到2029-2030年末,也就是7年内,软件定义汽车(SDV)的占比将超过汽车总量的90%。而现在这个比例仅仅接近5%。如果汽车的复杂度从 L0、L1提升到L4、L5,那么在汽车上的半导体投开销将增加50倍,才能实现自动驾驶、高级辅助驾驶(ADAS)类型的互联汽车。

作为芯片之母,EDA的重要性不言而喻。

在“E/ETec2023新一代智能汽车电子电气架构技术大会”,主办方特邀新思科技系统设计事业部副总裁李新基发表演讲。

借此机会,我们可以了解下新思科技能到底为智能汽车提供哪些赋能?

01

用技术降本

先简单介绍下这个企业。

新思科技(Synopsys)在芯片领域地位极高。它提供支持半导体芯片开发的技术基础设施,在芯片自动化设计方面(EDA)排名第一,同时还是全球排名第一的芯片接口IP供应商。

目前新思科技的业务覆盖EDA、IP 和软件安全三个领域,尤其擅长数据芯片的设计。如今,智能汽车、3C电子产品,以及生成式AI的快速发展,催生了市场对数字芯片的需求。

智能汽车正是数字芯片需求量快速增长的领域之一。目前,新思科技已经与多家车企在开发SoC和EE架构领域、多模系统研究展开合作。可以说,几乎所有汽车里面会用到的SOC开发可能都会用到新思科技的产品。

当然,新思科技能够取得这样的成绩,是源于其在技术领域的深厚储备。

了解新思科技的人会知道,新思科技不仅赋能AI,也在被AI赋能。

2020年,新思科技推出业界首个AI自主芯片设计解决方案DSO.ai,掀起芯片设计领域的新一轮革命:设计结果改善高达25%,设计效率提升10倍,帮助客户完成超过270次商业流片。

2023年,新思科技发布的Synopsys.ai EDA解决方案,涵盖了芯片设计从架构到设计和实现到制造的所有阶段。其中的全新数据分析功能,整合并利用来自架构探索、设计、测试到制造的EDA堆栈的每一层数据,从而进一步提高芯片PPA(功耗、性能和面积)、良率和工程生产率。

对于AI,新思科技正在三个方向同步推进:一是与芯片制造商客户有深入的设计参与,这些客户正在推动AI芯片的爆炸性增长;二是新思科技在名为Synopsys.ai 的产品计划中,在整个EDA堆栈中普遍嵌入了AI;三是新思科技正在将AI应用到自己的工作中,通过AI驱动的效率转型来优化和自动化其内部工作流程。

此外,新思科技也引入了虚拟原型,即数字孪生技术,其基于虚拟原型技术的虚拟开发平台(VDK)可实现芯片、电路及元器件等电子控制单元(ECU)的虚拟仿真,将物理开发升级到仿真环境的智能开发。汽车公司可提前12个月,于硬件可用前即启动软件开发和测试。虚拟仿真的ECU还能加速和扩展测试,模拟在真实和物理环境中很难实现的各类极端测试,因此可以快速将更可靠、更安全的产品投放到市场。

这样的好处是,可以让软硬件提早做测试,确保不需要在过度依存硬件的情况下,真正做到软件快速迭代、快速测试、快速部署。

李新基对此进行了比较通俗化的解释。李新基表示,比如手机下流水线就可以预测跑分。在车上,新思科技希望将来能够走到虚拟 ECU,基于虚拟化的平台可以做软件的开发和软件的快速迭代。“因为这完全是虚拟化的平台,当你在抽换底下零部件的时候,不需要等硬件的周期,只要能够及时地提取相对应的模型或者供应商能够提供这些模型,就可以做快速地抽换,可以做快速地迭代。”

李新基表示,欧美是从整车厂开始驱动这件事情,在国内也有一些领导厂商开始有意愿来驱动这件事情,从整车厂一路驱动下来,整个供应链能够一起协作,提供数字孪生或者虚拟的平台。

在李新基看来, 基于虚拟平台,可以在不同阶段做架构的探索,透过这些模型可以做效能预估。“这不是靠工程师的经验,是真正的透过软件负载来做评估,来做精确地选型。进而利用数字孪生的系统,来提供给软件和硬件做平行开发,过程中可以做快速迭代,不但软件本身可以做快速迭代,软硬件可以做快速地验证和协同整合。在上车之前,你的软件和硬件可以做到非常高覆盖率的测试,减少测试上的漏洞。”

换句话说,在数字模拟阶段解决问题,减少量产阶段造成的损失,进而帮助车企降低成本。

针对车企和Tier1的需求不同,新思科技提供的服务种类全面,大致可分为三大类:

优化SoC和EE架构,加快对软件定义汽车的验证,以及提高车辆安全和保障。当然,新思科技也可以根据主机厂在芯片设计中参与的深度不同,给予不同的支持。

假如主机厂不想参与太多芯片设计,只提出功能需求,新思科技有工具可以帮助整车厂快速选型、适配,这个方式不需要太多的成本;假如主机厂和Tier1强调差异性,有自己开发的需求和规格,但不具备芯片设计能力,新思科技也有工具支持主机厂和Tier1的差异化;假如主机厂想自主设计芯片,新思科技可以也提供完整的架构设计。

总之,基于强大的设计工具和IP产品组合,车企在芯片领域的设计需求,在新思科技总能得到满足。

02

为安全筑垒

安全保障,也是新思科技的重要能力之一。

如果一辆车上会有3亿行代码,你首先想到的可能就是代码的安全性。这不仅仅关系到信息安全。一旦一辆车被 “黑客”入侵了,就可能影响到汽车的功能安全。

因此,新思科技在芯片设计阶段,就为功能安全和信息安全都铸造了“安全堡垒”。

新思科技芯片设计平台内置安全机制,如双核锁步和三模冗余,并具有用于器件老化,软错误分析和模拟故障仿真的综合分析和测试技术。

大多数情况下,功能安全管理系统由成对的两个处理器构成。两个处理器内核以锁步方式运行,并带有一个较小的移位,以免同一区域出现错误,并通过比对结果检测是否发生错误。新思科技的ARC功能安全处理器IP通过了芯片安全系统领域广泛使用的标准──ASIL B和 ASIL D的双料认证。ARC支持整体设计,具有符合ASIL D级标准的处理器和安全性,能够有效抵御攻击。ARC还可检测物理篡改,支持可信执行环境,并提供ISO 26262认证所需的各项证明文档。功能安全软件也能反过来实现功能安全的预先考虑和优化,增加灵活性,让实现和开发过程更省力。

ARC SEM130FS处理器符合ASIL D标准,增设了诸如“双核锁步”等针对功能安全问题而设计的硬件功能,可满足对汽车功能安全的严格要求,并能够减少随机硬件错误,同时避免系统故障。

在信息安全方面,汽车制造商会通过在汽车SoC中采用硬件信任根的方式,一方面确保只有制造商的身份可以被准确识别并授权访问系统,另一方面,可以创建能够为远程管理设备和部署服务创建安全通道。

新思科技致力于让汽车SoC的设计和验证更加可预测,并帮助开发团队以对质量产生最小影响的方式实现安全目标。新思科技的 tRoot™ 硬件安全模块(HSM)专为汽车SoC而开发,符合ASIL B级标准,并结合信任根安全解决方案与硬件安全机制,将饱受数据篡改和物理攻击影响的汽车SoC解救出来。

新思科技的IP解决方案符合安全流程和文档需求,所覆盖的汽车应用从远程信息处理、雷达、高级驾驶辅助系统,到V2X通信和工业级SoC,十分广泛,能够最大程度地帮助汽车SoC开发者实现更高水平的信息安全并消除故障点。

新思科技ADAS SoC的IP

总之,要实现“自动驾驶”这个大目标,功能安全和信息安全问题一定是汽车开发者要着重考量的。如果能在设计的早期阶段就逐步解决这两个问题,将功能和信息双安全问题融入汽车的全生命周期管理中,那么距离真正的“完全自动化”就不再遥远。

可以看到,新思科技在在芯片的设计、仿真、验证以及安全等领域都有非常深厚的技术储备。正是新思科技全方位的技术能力,铸就了其今日的地位,同时,也是这样的技术能力和系统解决方案,能够最大程度的移植、复用到汽车领域,帮助车企高效、全面地解决软硬件设计领域的问题。